Re: [NTLK] mac or not to mac, or how much PC really costs

From: Laurent Daudelin (laurent.daudelin_at_verizon.net)
Date: Wed Oct 06 2004 - 06:00:43 PDT


on 06/10/04 05:12, j g at atcnn2_at_yahoo.se wrote:
[snip!]
> Than I was talking to my friends, PC lovers. They said: I exaggerate.
> After all, one has to know the computer. I said: I don't want to know
> how computer works, imagine, I said to them, a TV-set or a car which
> stops 5 times a day or asks you to decide if something is virus or
> not?? Or I should know, in TV-set case, which resistor has to be
> changed when TV-set suddenly stops. Is it so that most of civilized
> people accepted to work with prototypes or half ready products, and pay
> and pay and pay endless amount of money for support, service, patches,
> holes, hangs, lost data, virus attacks etc.. What it is good for? Why
> it is so accepted? Are we so stupid at the end? (NOT WE MAC PEOPLE)

I think that a lot of people are stupid, indeed...

-Laurent.

-- 
============================================================================
Laurent Daudelin   AIM/iChat: LaurentDaudelin    <http://nemesys.dyndns.org>
Logiciels Nemesys Software               mailto:laurent.daudelin_at_verizon.net
Brooks's Law prov.: "Adding manpower to a late software project makes it
later" -- a result of the fact that the expected advantage from splitting
development work among N programmers is O(N) (that is, proportional to N),
but the complexity and communications cost associated with coordinating and
then merging their work is O(N^2) (that is, proportional to the square of
N). The quote is from Fred Brooks, a manager of IBM's OS/360 project and
author of "The Mythical Man-Month" (Addison-Wesley, 1975, ISBN
0-201-00650-2), an excellent early book on software engineering.
-- 
This is the NewtonTalk list - http://www.newtontalk.net/ for all inquiries
Official Newton FAQ: http://www.chuma.org/newton/faq/
WikiWikiNewt for all kinds of articles: http://tools.unna.org/wikiwikinewt/


This archive was generated by hypermail 2.1.5 : Wed Oct 06 2004 - 06:30:01 PDT